Tech と Culture

テクノロジーとカルチャー

2009-03-01から1ヶ月間の記事一覧

データパスのテストベンチとFPGAへのインプリメントテスト

できあがったデータパス部分が正しいか論理検証を行います。 このような記述で、以前にIJGを変更してC言語で生成したYCC-RGB変換モジュールへの入力ベクトルをファイルから読み込み、出力される値をファイルに書き出します。そして出力されたファイルを同様…

データパスのRTL

これまでに設計したデータパス部分をRTLで記述しました。 VHDLの記述の基本事項を知らないために、たったこれだけのものでかなりの時間を費してしまいました。 まずはライブラリの指定から。 最初の ieee.std_logic_1164.all は当然のように指定しましたが、…

ソースコード表示テスト

ソースコード表示のテストです。 まずは、唐辛子というコンバーターを使った結果。library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_signed.all use ieee.numeric_std.all;entity yccdatapath isport ( yin : …

データパスのビット長(2)

以下のようにデータパスのビット幅の構造が決まりました。 このビット構造で正しいかどうかホスト側のXwindows上のプログラムを変更して確認します。 IJGの中のjdcolor.cというファイルの中でこのYCC-RGB変換が実現されています。 もちろん、ソフトウェアで…

データパスのビット長(1)

データパスのビット長を決定しなければなりません。 インターフェース誌の記事を参考に、同じような考察をYCC-RGB変換モジュールのデータパスに対して行ってみます。 ソフトウェアであれば、INT型、DOUBLE型と演算のビット幅は決められたものから最適なもの…

VMware Server

VMware server 2.0 をインストールして、無事CentOSをゲストOSとして起動できたので、パフォーマンスをチェックしてみた。まず最初にマルチブート環境にインストールした方のCentOSで、VGAサイズの motion JPEG を実行した。 CPUコアがひとつだけ100%で 5.1…

CentOS インストール メモ

Dell Studio Desktop Intel QuadCore Q8200 Memory DDR2 4MB Graphic chip RadeonHD3650 にCentOSをインストールCentOS5.1のインストールDVDではドライバ不足でインストールできず。 (おそらくHD3650関連のドライバ)CentOS5.2のインストールDVDでインスト…

PCがきた!

DELLのPCが到着。 一応Linuxのマルチブートも可能にしておくつもりでパーティション作成。 以前使ったパーティションコマンダーというソフトをインストールすると、、、、、 システムコマンダーとかいうプログラムがインストールされていてMBRをぶっ壊してWi…

Theora on LEON

ぷらぷらとネットサーフィンをしていると、LEONにTheoraという動画フォーマット用のハードウェアをつなげてFPGA上で動画をデコードしましたというホームページを発見! http://www.students.ic.unicamp.br/~ra031198/theora_hardware/どうやら…

YCbCr-RGB変換モジュール(2)

少し修正。 PCが動いたり止まったりと、とても設計する気がおきません。。。。。。 DELLのオーダーステータスを見ると、到着は3月14日前後。そこで、VHDLの本を一冊買いました。 「HDLによる高性能ディジタル回路設計 - 森岡澄夫」 以前見たインターフェ…

Pender Electronics Design

私の持っているボードはPender Electronics DesignのGR-XC3S-1500です。http://www.pender.ch/index.shtmlこの会社は、LEON Processorの開発元である、Aeroflex Gaisler と共同でボードを開発しています。よって、初期にLEONをボード上で動かすときに公式に…

ケーブルがキター!

年末の大掃除でFPGAのコンフィグ用のケーブルを紛失してました。 これまでは、すでにフラッシュに書き込んでいたハードウェア上で実験していました。 そして海を越えて今日やってきました!digilent社のUSB−JTAGケーブルです。 ボードのマニュアルにもdigile…