Tech と Culture

テクノロジーとカルチャー

2009-06-06から1日間の記事一覧

YCbCr-RGB変換モジュールRTL 単体検証

YCbCr-RGB変換モジュールの検証(HDLシミュレーション)を行います。 Grlib内にある、ambatest packageを用いて検証します。 ambatest packageは正式にリリースされているものではなく、少しバグが残っているとメーリングリストでgaislerさんが発言しています…

雑記

amazonから kindle DX というものが出ました。 http://japanese.engadget.com/2009/05/06/amazon-kindle-dx/ これまでの kindle の画面を大きくしたものです。 なかなか私が欲しいものに近づいて来ています。WiFi が無くて3G回線のみというのがいただけない…

YCbCr-RGB変換モジュールRTL AMBA master(仮)

AMBAのマスター側のプロトコル概要は以下のものです。 すでにスレーブの所で説明されていますが、この図には、マスターがバスの所有権を要求する所から示されています。また、バーストで複数データを送る際のHTRANS信号も示しています。 マスターは任意のタ…

YCbCr-RGB変換モジュールRTL AMBA slave 3(仮)

YCbCr-RGB変換モジュールのAMBAスレーブ側の全体構造は次の図のようにしました。 データを取り込む部分のVHDLコーディングは非常にシンプルです。datapath部分は以前にコーディングしたモジュールをprocedureに書き直してただ単に代入するだけにしてあります…