Tech と Culture

テクノロジーとカルチャー

2009-06-18から1日間の記事一覧

YCbCr-RGB変換モジュールRTL ハードウェアテスト

FPGAへのマッピングができたので、YCbCr-RGB変換モジュールのハードウェアテストを行います。 GHDLによるシステム検証時に、Bare-C compilerを用いてソフトウェアをコンパイルして、SDRAMの中身を示すsdram.srecファイルを生成しました。このファイル生成の…