Tech と Culture

テクノロジーとカルチャー

2009-03-30から1日間の記事一覧

データパスのテストベンチとFPGAへのインプリメントテスト

できあがったデータパス部分が正しいか論理検証を行います。 このような記述で、以前にIJGを変更してC言語で生成したYCC-RGB変換モジュールへの入力ベクトルをファイルから読み込み、出力される値をファイルに書き出します。そして出力されたファイルを同様…

データパスのRTL

これまでに設計したデータパス部分をRTLで記述しました。 VHDLの記述の基本事項を知らないために、たったこれだけのものでかなりの時間を費してしまいました。 まずはライブラリの指定から。 最初の ieee.std_logic_1164.all は当然のように指定しましたが、…

ソースコード表示テスト

ソースコード表示のテストです。 まずは、唐辛子というコンバーターを使った結果。library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_signed.all use ieee.numeric_std.all;entity yccdatapath isport ( yin : …