Tech と Culture

テクノロジーとカルチャー

2009-02-28から1日間の記事一覧

YCC-RGB変換モジュール

とりあえず、ざっくり考えたものがこちら。続きこれからもうちょっと詳しく考える必要があります。 とりあえず、デバイスドライバ部分がまだ詳細が分かっていないので、READY信号を作ってリードできるようにします。アプリケーションからは、ここをリードし…

AMBAバス

GrlibのAMBAバスマスターエミュレータ2つとAHBメモリ2つとAHBコントローラをつなげて、write, read, burst write, burst read を実行させるRTLシミュレーションをmodelsim上で実行しました。 AMBAの基本的なプロトコルは以下のようなものです。 http://www…